勉強しないとな~blog

ちゃんと勉強せねば…な電気設計エンジニアです。

ZYBOを進める - 14. シェルからLEDチカチカ

ZYBOチュートリアルの続きです。

ZYBO (Zynq) 初心者ガイド (11) LinuxユーザアプリケーションでLチカ - Qiita

LinuxシェルからGPIO操作

PetalinuxでLinuxをビルドすると、sysfsドライバが用意されていて、これでGPIO操作することができます。

前回ビルドしたLinuxですでにこれが入っているので、これを再度動かして、ZYBOのMIO7のLEDの制御をしてみます。

参考サイトでは、番号913でGPIOを操作していましたが、これは/sys/class/gpio/gpiochip906が存在するから、ということでした。

今回見てみると、

root@Zybo-base-linux-peta:~# ls /sys/class/gpio/
export        gpiochip1015  gpiochip1023  unexport
gpiochip1011  gpiochip1019  gpiochip893

となっていて、gpiochip906はありませんでした。
一番近いのはgpiochip893なので、これでやってみます。
MIO7に対応する番号は、893+7 = 900となります。

root@Zybo-base-linux-peta:~# echo 900 > /sys/class/gpio/export
root@Zybo-base-linux-peta:~# echo out > /sys/class/gpio/gpio900/direction
root@Zybo-base-linux-peta:~# echo 1 > /sys/class/gpio/gpio900/value
root@Zybo-base-linux-peta:~# echo 0 > /sys/class/gpio/gpio900/value

echo 1...でMIO7のLEDが点灯、echo 0 ... でLEDが消灯しました。
期待通りです。
gpiochip893でよかったようです。

ここまで

チュートリアルではこれに続いてアプリケーションを作成してGPIOの操作を行う、ということをやっています。
次回に回します。